IRC logs for #openrisc Friday, 2016-08-26

--- Log opened Fri Aug 26 00:00:42 2016
olofkZipCPU: It means I deprecated the old way to specify arguments. I didn't want to update the core files, since that would mean that it would stop working for people with older versions of FuseSoC03:55
olofkThe plan is instead to put out a new version of these cores first with the changes03:56
olofkBut the dependency handling has been a weak point in FuseSoC for all its life. I finally bit the bullet earlier this summer and I'm almost ready to push a patch with improved dependency handling03:56
olofkIt's taken a looooong time to figure out all the corner cases to have somewhat good backwards compatibility03:57
olofkBut it looks like I'm almost there now. Just one known issue left03:58
olofkNo documentation of course, but I have waited with this patch for so long, so I just want to get it out now03:58
olofkAnd once that is done, it will be a lot easier to push out new versions of the cores, and I will be able to phase out some older stuff03:59
ZipCPUolofk: You must have regressed.  Now I get the same error as before the mor1kx_bus_if_avalon.v reference was 'fixed'.  ;)13:21
ZipCPUJust writing to let you know of a new OpenCores core that could help mor1kx-generic out: the wbuart32 core.13:21
ZipCPUThis core packages up my personal txuart.v and rxuart.v transmitter and receiver cores, together with a uartsim.cpp that matches their work from a C++ context.13:22
ZipCPUYou should still be able to connect your UART 16550 core to the uartsim.cpp test facility--you'll just need to properly give it the setup register.13:22
SMDhome1ZipCPU have you tried your uart w/ dhrystone?14:06
ZipCPUDo you mean, have I hooked it up to mor1kx-generic to see if it works?  No.14:07
ZipCPUMy goal, though, is to hook it up to mor1kx-generic--I just need to get mor1kx-generic to build.14:07
ZipCPUolofk was helping me with that, but it looks like that effort got mired in a myriad of bugs associated with a new release that isn't quite ready.14:08
SMDhome1ZipCPU so I assume I should not update fusesoc as long as it works for me now?)14:25
ZipCPUExactly!14:25
ZipCPUSMDhome1: If you are interested in connecting up the UART, I can talk you through it ...?15:09
SMDhome1Can anyone tell me if watchpoints are implemented in mor1kx now?15:09
SMDhome1ZipCPU It would be great! I've spent today dealing w/ PCU and tomorrow I want to finish uart business15:10
olofkSMDhome1: I don't think we have watchpoints17:04
ZipCPUolofk: Any idea why Verilator would puke at line 275 in uart16550-1.5.4/rtl/verilog/uart_transmitter.v?17:09
ZipCPU"syntax error, unexpected INTEGER NUMBER, expecting IDENTIFIER or ')'?17:09
ZipCPUIt's on a $fflush line within an if (SIM) begin ... end construct.17:10
ZipCPUIt would be easy enough to enclose those if (SIM) lines in an `ifndef VERILATOR ...17:18
olofkThat should be enough17:27
olofkAre you planning on hooking up your socket machinery in there?17:28
ZipCPUYes!!!!!17:28
olofkah ok17:28
ZipCPUWhere should I make my temporary changes: .local/share/orpsoc-cores/systems/mor1kx-generic/rtl/verilog?17:28
olofkI thought you would expose the tx/rx lines to another UART17:28
ZipCPUWell, yeah, but ... the change needs to be made in mor1kx-generic/rtl/orpsoc_top.v ... that's where the line needs to be exposed to.17:29
ZipCPUThe change also needs to take place in mor1kx-generic/bench/verilator/tb.cpp --- that's where the C++ module needs to connect.17:29
olofkI used to to it like that, but to a lightweight UART that only read the TX line from the real UART17:29
olofkYou can make the changes in those files directly17:30
olofkBut do you need to also change the UART core?17:30
ZipCPUfusesoc won't overwrite them without a fusesoc update?17:30
ZipCPUNo, I don't need to change the UART core at all.17:30
olofkHmm.. but why did you ask about line 275 a bit earlier?17:31
ZipCPUDo I need to adjust any files so that my two new C++ files get compiled?17:31
ZipCPUOh, easy ... Verilator was puking and so it wasn't even building.17:31
ZipCPUIt's now building, although with a lot of warnings, so I can at least move forward with my changes.17:32
olofkAnd to answer your other question, fusesoc won't touch those files you want to change in ~/.local/share/orpsoc-cores17:32
olofkaha17:32
olofkcool17:32
ZipCPUSo, basically, I need to wire the uart to the external interface of orpsoc_top.v17:32
ZipCPUtb.cpp then grabs these wires, and plugs them into a simulation interface.17:32
ZipCPUThe simulator decodes the UART and forwards it ... wherever.17:33
ZipCPUI was thinking stdin/stdout might make more sense than a TCP/IP port, so I made some modifications so that would work.17:33
* kc5tja sighs17:34
kc5tjaI just got an e-mail from someone following the Kestrel project, and I feel really quite bad because he was looking to use Oberon, and I've just not had the time or bandwidth to invest in furthering my port.  :(17:34
ZipCPUOpen source: you get what ... you get.  Don't like it?  Fix it yourself, right?17:34
* kc5tja really must get more organized. But, it's really hard when you're as scatter-focused as I am.17:35
kc5tjaYes, of course; but it's still a concern to me that I've spent this much time no K3 and still have nothing to show for it.17:35
kc5tjaAnd the 5th Workshop just around the corner too.  >:/17:35
kc5tjaAnyway, just kvetching.  Feel free to ignore me for now.17:36
ZipCPUIs your job connected to the workshops, or just your hobby?17:36
kc5tjaPurely hobby.  While I'd love to get a job at Sifive or other RISC-V-related company, it's just a pipe-dream for me at the moment.17:36
kc5tjaHowever, my job is definitely a contributor to my emotional and intellectual drain.17:36
ZipCPUSo why don't you take your time and enjoy the ride?  Skip a workshop or two ... ?17:37
kc5tjaI skip every other workshop.17:37
ZipCPUor two?17:37
olofkZipCPU: I'd prefer the socket way. It's completely impossible to get a decent stdin with the other simulators :/17:39
ZipCPUIt's just a configuration change.17:39
olofkotoh, we're keeping the current way for the other sims, so it doesn't matter17:39
olofkaha17:40
olofkNice17:40
ZipCPUI could've done it nicER, but it works.17:40
ZipCPU(I made a copy of the network code, and turned it into file-descriptor code.  Might've made more sense to merge the two properly ...)17:41
ZipCPUolofk: If I want to add a required compile file to the mor1kx-generic.core file, it would be under [verilator] ... but what prefix?17:43
ZipCPUDo I add a second item to tb_toplevel = bench/verilator/tb.cpp bench/verilator/mycode.cpp?17:43
olofkJust read the documentation :)17:44
* ZipCPU makes some unintelligible sound17:44
olofkSorry. Bad joke :)17:44
olofkNo, but looking through the code, there is an option called src_files in the verilator section17:44
olofkActually, you can browse all options available in the core files if you go to the doc directory and run 'make doc'17:46
olofkIn fusesoc17:46
olofkThey are automatically generated from the code to an asciidoc file17:46
olofkmake doc converts them to html17:46
olofkIn hindsight I should probably have gone with markdown instead, so I wouldn't have to do the extra conversion step17:47
ZipCPUYou mean ... in my /usr/local/lib/python2.7/dist-packages/fusesoc directory?17:47
olofkah right17:48
ZipCPUThere's no Makefile in there.17:48
olofkI'm so used to work from the git tree17:48
olofkI really, really need to do something about the documentation :(17:48
ZipCPUHmm ... is src_files appropriate for C++ files?17:50
olofkThere's another option that tells FuseSoC if the verilator tb is written in c, c++ or systemC17:50
olofkZipCPU: HTML docs -> https://www.dropbox.com/s/n89nbq1xqms1xn0/fusesoc_docs.tar.gz?dl=017:52
olofkMainly to be used as a reference for which options are available in the .core files17:52
mafmhttps://www.crowdsupply.com/eoma68/micro-desktop -- about to end and the campaign met the goals in the last few hours17:52
olofkmafm: Awesome!17:53
ZipCPUHmm ... dropbox didn't work.  I've got a text file, not a tar.gz file.17:54
ZipCPUls17:54
* mafm pledged for a couple of cpu-cards plus a few extras (mini-desktop boxes and so on)17:54
ZipCPU(Sorry, wrong window ...)17:54
olofkZipCPU: Really?17:54
olofkThat's odd17:55
ZipCPUYup.  I think I have a git copy of fusesoc now.  I'm looking at html files in the doc directory.17:55
ZipCPUhttps://www.youtube.com/watch?v=g8vHhgh6oM017:58
ZipCPUWell ... okay ... now it's building, but ... I'm not getting any output.  Looks like I'm going to have to do some digging.18:00
olofkIs the verilator tb building?18:02
ZipCPUYep.  It's building now.  It even runs.  I just don't get any of the output I'm expecting.18:03
olofkaha18:03
ZipCPUSo, this one's on me, I've got to do some digging to figure this one out.18:03
-!- _florent__ is now known as _florent_19:40
--- Log closed Sat Aug 27 00:00:32 2016

Generated by irclog2html.py 2.15.2 by Marius Gedminas - find it at mg.pov.lt!