IRC logs for #openrisc Tuesday, 2012-10-09

juliusbyes00:01
juliusbit will be useful00:01
juliusbbecause it kinda boots00:01
juliusband then I have no idea what on earth it's doing00:01
juliusbit just tries to fish some crap out of 0xf00 which looks like some kind of table of functions00:02
juliusbbut it doesn't get initialised or not linked in or whatever00:02
juliusbso...00:02
juliusbkinda annoying00:02
juliusbwould like to see it boot :(00:02
olofkHave you tried or1ksim or something to see what to expect?00:03
juliusbno it's definitely the software00:07
juliusbsorry, by that I mean or1ksim wouldn't help00:07
juliusbit'd tell me the same thing the RTL sim is00:07
juliusbso, it looks like it's doing what it should until that point, anyway00:08
juliusband it's some standard boot thing so none of the test programs eCos comes with boot :(00:08
juliusbmight throw an email out there00:08
juliusbi have hacked the eCos port a bit, though, to get diet-delay-slot OR1K working00:08
* juliusb wonders where he put that standalone coremark port he did a while back00:08
olofkIs it that redboot thing that handles the init?00:09
juliusbmmm not sure00:09
juliusbi think that's an app you can build based on eCos00:09
juliusbbut eCos has a little boot up thing00:09
juliusbkernel init and stuff00:10
juliusbit actually crashes while doing some write to some character device00:10
juliusbthe function it's in is hal_if_diag_write_char00:10
* juliusb hacks that function to just l.nop printf stuff from that function00:12
* _franck_ finally found why this fu***ing ic was broken00:15
juliusb:)00:15
juliusbi just saw your post00:15
_franck_it's been a while I have this around and this stoped  me working on barebox....00:15
_franck_however, I'm not sure of the fix00:16
olofkHas anyone used opdis for openrisc?00:21
juliusbopdis?00:22
* juliusb has made an eCos test run and pass on delay-slot-free mor1kx!00:23
juliusbjust something to do with the console output is breaking things00:24
juliusbotherwise eCos appears to run fine00:24
olofkThat's great news00:24
olofkopdis is a wrapper for libopcodes to generate instruction traces from different binary files00:25
juliusbperhaps I've already tried to use it....00:25
juliusbcan't remember the name of the thing00:26
juliusbahh yes00:26
juliusbi've already got a thign which uses libopcodes to generate traces for openrisc00:27
juliusbhttps://github.com/juliusbaxter/or1ktrace00:27
juliusbit's pretty trivial, but I hvaen't used it lately00:27
juliusboh, and I couldn't get it working properly with the cgen-based toolchain :(00:27
juliusbit worked well with the older binutils port00:27
juliusbnever got around to figuring out why00:27
olofkI never got or1ktrace to work. That was my first option00:29
juliusbI basically wanted to do this so we had a uniform disassembler across all our models00:29
olofkSame here. Planning to use it as an alternative to or1200_monitor.v00:30
juliusbah00:30
juliusbif it's a verilog disassembler you want00:31
juliusb(ano r1k disassembler written in verilog...)00:31
juliusbhttps://github.com/juliusbaxter/mor1kx-dev-env/blob/master/bench/verilog/mor1kx_monitor.v00:31
olofkis it based on or1200_monitor or written from scratch?00:32
juliusbwell, the function is written from scratch00:32
juliusbrelies on some files in mor1kx though00:32
juliusbjust `defines for the OR1K insn set00:33
olofkI might end up using that for simulators that don't have VPI (crappy ISIM)00:34
juliusbsweet, a lot of this eCos stuff runs in the verilated model at OK speeds00:34
juliusbyes, VPI'ing to the same C library we use across the other models would be best00:34
juliusbwell i'm stoked, mor1kx-prontoespresso and eCos working00:35
olofkSounds like a reason to celebrate :)00:36
juliusbindeeed00:37
juliusbbrb00:37
--- Log closed Tue Oct 09 08:09:55 2012
--- Log opened Tue Oct 09 08:10:10 2012
-!- Irssi: #openrisc: Total of 22 nicks [0 ops, 0 halfops, 0 voices, 22 normal]08:10
-!- Irssi: Join to #openrisc was synced in 25 secs08:10
_franck_jeremybennett: is it possible for me to get a Bugzilla account ?11:05
stekern_franck_: I think you should be able to use your opencores account11:08
jeremybennett_franck_: Yes as stekern says, just register with your opencores email address.11:08
jeremybennettany problems, give me a shout - I have admin access11:09
_franck_opencores email address ? don't have one11:09
stekerndoes anyone have any hint on this problem:11:09
stekernhttp://pastie.org/502304011:09
_franck_I'll try my opencores login/pass as soon as I remmber what they are :)11:10
stekernthe problem is that in _setjmp and __setjmp the relocation to __sigsetjmp is copied into the final object11:10
stekernbut since they are local, they _shouldn't_ need to11:11
stekernas you can see, the jump to __sigjmp_save is correctly *not* relocated11:12
stekernI believe that I have code in bfd that should handle this, but it seems that __sigsetjmp gets some special threatment11:13
_franck_jeremybennett: login/pass from opencores account doesn't work. Plus, I don't have opencores address so I need your help please11:14
stekernso, I could define _setjmp and __setjmp in the same file as __sigsetjmp, but I wonder if there are some tricks one can use to get the same results from using different files?11:15
stekerni.e. hint to the jump in _setjmp that it is in fact going to be local?11:15
jeremybennett_franck_: If you have an opencores login, you automatically have an opencores address (<your login name>@opencores.org)12:08
jeremybennettYou need to use this to register. It's a separate system from your opencores login, so you'll need to create your own password.12:09
jeremybennettIs opencores.org down at the moment? No response from the wiki.12:11
jeremybennettAh - now it's back12:11
_franck_jeremybennett: thanks I didn't know about my opencores address....12:16
juliusb_franck_: you have two separate bug fixes you've submitted to the mailing list in the last day?13:01
juliusbor is the second post a better fix for the single bug which is also described in the first email?13:02
_franck_two separates ones13:03
juliusbcool OK13:03
juliusbwell I think the first one, if you can run the ORPSoC regression suite and it fixes software execution in your implementation then I'd say it's OK\13:04
juliusbthe second one sounds familar to be honest (wrong insn getting acked)13:04
juliusbbut.... I guess if you're using the head revision of the OR1200 then it must still be buggy in there13:04
_franck_I've never run the ORPSoC regression suite :)13:05
_franck_so you are saying you've already fixed this bug ?13:06
juliusbno I'm saying maybe I saw this before13:06
juliusbmaybe...13:06
juliusbit rings a bell but if I fixed it then you wouldn't hvae seen it :)13:06
_franck_too bad13:07
juliusbbut if you think you've fixed them then that's great13:08
stekernjuliusb: I think we had a couple of similiar bugs early on in mor1kx, could it be those bells that are ringing?13:09
juliusbah possibly hehe13:10
stekern_franck_: running the ORPSoC regression suite is pretty easy, just run 'make rtl-tests' in sim/run/13:14
stekernhmm, moving _setjmp to same file as __sigsetjmp didn't make a difference13:16
_franck_stekern: yeah I just saw this, just need to set some variables here and there13:17
stekernI probably have to use a(n extra) local label and jump to that in order for it to work13:21
stekern(cris does a similiar hack)13:23
stekernI could of course call it through the .got, but that sounds mighty scary for setjmp13:24
stekernyes, victory!13:25
stekernhttp://pastie.org/502344913:25
stekernI still wonder if this is right, or if there is something I'm not doing correct in bfd13:28
stekernit *should* figure this out imo...13:28
_franck_juliusb: I'm still thinking this is strange that this bug never showed up to anyone else...13:34
juliusb_franck_: it could just be the combination of the wishbone memory slave you're using13:35
juliusband the bus arbiter etc.13:35
_franck_yes that's what I'm thinking13:35
stekernand that it happens in a place where you would notice it13:38
juliusbanyway, OR1200 is good but old hat :)13:44
juliusbyou want that new mor1kx stuff man13:45
juliusbstekern: see I got eCos running on mor1kx-prontoespresso?13:45
stekernjuliusb: yes, very good news!13:46
juliusbhad to epic hack it though13:46
juliusbsomething is up with the console output driver bit13:47
stekernwhat was the problem?13:47
juliusbi can ask piotr at the conference perhaps13:47
juliusbumm, it gets to a place where it's checking for a device to output a character with and fetches something from what looks like a table and then just falls over (fetches value 0 and then jumps to it)13:47
stekerndoesn't it have some dual uart setup? where one is for hooking up gdb (or something like that)13:47
juliusbmmm not sure really13:48
juliusbI couldn't find where a base address for a UART was specified13:48
juliusbbut piotr apparently got it working on an ORPSoC implementation, so it must be using a real UART somewhere, somehow13:48
juliusbso I just hacked that function and put in l.nop 0x4s13:48
stekerndunno... I have had it running on orpsoc at least, but that was sometime in the very beginning13:49
juliusbyeah i'll sit down with him on the weekend hopefully13:49
stekernwonder if my de0-nano is showing a nice jpg now or just have fell over...13:52
juliusb??13:58
stekernI'm trying to get 'fbi' working14:07
juliusb:)14:13
juliusbwell coremark works on mor1kx-pronto espresso now :)14:13
stekernwhat score do you get?14:14
juliusbah it's just simulation14:17
stekernah, ok and you only run the short one14:23
stekernsomething is fishy here, linux is constantly crashing and pc is at 0x24814:24
stekernmaybe I should pull in _franck_'s and yann's fixes ;)14:25
juliusb:)14:26
juliusbpraps14:26
_franck_and this one too: http://lists.opencores.org/pipermail/openrisc/2012-May/000806.html14:31
stekern_franck_: or1200_genpc: fix ipcu_cycstb_o generation doesn't apply for me :(14:51
stekernontop of what is that?14:52
_franck_my orpsocv2 which is a copy of yours14:53
_franck_what's the problem ?14:53
stekernah, but I pulled in from svn before applying14:54
stekernI think perhaps olofk's changes is clashing with it14:55
_franck_I should checkout the svn version then create a patch from there...my bad14:56
stekernit applied here with git am --3way15:11
stekerndidn't change the linux crash though15:18
_franck_if the regression test does not stop before the end that means it's ok ?15:28
juliusb_franck_: yes I think so15:33
juliusbTBH i'm not sure how much that regression code exercises the instruction cache15:33
juliusbactually, I think it turns it on for all of them if it detectsi t15:33
juliusb(if it can)15:33
stekernyup15:35
stekerndidn't seem to cause any troubles when booting linux neither15:35
stekernat least not any more troubles than I have ;)15:35
juliusbso all known fixes/patches are applied and you still have your issue stekern ?15:37
stekernyes, could be any number of things though15:39
stekern+ of a15:41
stekernI've compiled it with the or1k-elf toolchain for example15:42
stekernI don't think it's my dynamic linking failing, because it sometimes fail already in the boot15:43
stekernand it's always at 0x248 when I stop gdb15:44
stekernmaybe I should move to my atlys board, that's at least a better known to be good at running linux15:45
stekerncould be my sdram controller acting up (again)15:45
_franck_:)15:45
stekernwell well, stuff that just works are boring ;)15:46
_franck_true, without pain there is satisfaction15:47
_franck_and that's all we are looking for here15:47
stekernI'm assuming there is a "no" missing there ;)16:47
_franck_oups :)16:50
jeremybennettjuliusb: What are the plans for lunch on Sunday?17:08
juliusbjeremybennett: none yet, there's cafes nearby, I assume we'll be a smaller group, we can just go and eat when we feel like it17:13
jeremybennettSounds good to me. Will you have your UK cellphone with you in Sweden?17:16
juliusbgood question17:34
juliusbi'll post a number to contact me on the wiki on the day17:34
juliusbi still have my swedish sim, so will probably use that17:34
jeremybennettOK - I have that number still17:43
stekernstupid impact!18:29
olofkstupid xst, ngdbuild, coregen, map par, bitgen, xps, edk, planahead!18:44
stekernthat too, but mostly stupid impact19:38
stekerngetting the 0x248 hang on the atlys board too...20:14
stekernand u-boot networking seems broken atm20:15
juliusb:-/20:28
juliusbi know that feeling - nothing going right20:28
juliusbeverything is broken all of a sudden20:29
stekernaint nothing that can't be fixed though ;)20:31
stekernI've rolled back my linux to the last known good20:32
stekernand orpsoc...20:32
stekernomg, it's alive!!!20:34
juliusb??20:34
juliusbfbi?20:34
stekernhttp://oompa.chokladfabriken.org/tmp/fbi.jpg20:39
stekernyup ;)20:39
juliusbno way!20:40
stekerncool, now it works on de0-nano as well23:25
_franck_do you have a video output on the de0-nano ?23:32
stekernyes, I have the terasic lcd-touch addon23:36
stekernhttp://www.terasic.com.tw/cgi-bin/page/archive.pl?Language=English&CategoryNo=68&No=21323:37
_franck_it's good to have barebox running smoothly... without the fear of how it's going to crash without reason :)23:38
_franck_nice board23:38
stekernhaven't got around to play with the touch on it though23:39

Generated by irclog2html.py 2.15.2 by Marius Gedminas - find it at mg.pov.lt!